Intel announced three major measures to extend Moore's Law, including the development of quantum computing
In its unremitting pursuit of Moore's Law, Intel has achieved key breakthroughs in packaging, transistors, and quantum physics, which are critical to advancing and accelerating computing in the next decade. At the IEEE International Electronic Devices Conference (IEDM) in 2021, Intel outlined its use of hybrid bonding to increase the interconnect density in the package by more than 10 times, increase the logic expansion capability by 30% to 50%, and use the new power and storage technology. Major breakthroughs in technology and the development of new concepts of physics that may one day revolutionize computing.

At Intel, the research and innovation necessary to advance Moore's Law never stops. Intel's Components Research Center (Components Research) is responsible for providing revolutionary process and packaging technology options to extend Moore's Law and realize Intel products and services.
Since its inception, Moore's Law has been tracking the computing innovations that meet the needs of every generation of technology from mainframes to mobile phones. Today, as we enter a new era of computing with unlimited data and artificial intelligence, this evolution continues today.
Continuous innovation is the cornerstone of Moore's Law. Intel’s Component Research Center is committed to innovating in three key areas: 1) Provide more basic expansion technology for transistors; 2) New silicon functions to provide power and storage gain; 3) Explore new concepts in physics to thoroughly Change the way the world calculates.
In fact, many innovations that break through the barriers of Moore’s Law and appear in today’s products all started with component research-including strained silicon, high-k metal gates (HKMG), FinFET transistors, RibbonFETs, as well as EMIB and Foveros Direct. Packaging innovation within.
Intel will continue to advance and benefit from Moore's Law after 2025 through three major measures.

1. Intel is conducting important extended technology research to provide more transistors in future products:
The company's researchers outlined solutions to the design, process, and assembly challenges of hybrid bond interconnects, and it is expected that the interconnect density in the package will increase by more than 10 times. At the Intel acceleration event in July, Intel announced plans to launch Foveros Direct to achieve a pitch of 10 microns and below, which will increase the interconnect density of 3D stacks by an order of magnitude. In order for the ecosystem to benefit from advanced packaging, Intel also called for the establishment of new industry standards and test procedures to achieve a hybrid bond chip ecosystem.
In addition to the comprehensive RibbonFET, Intel is mastering the upcoming post-FinFET era by stacking multiple (CMOS) transistors, which aims to achieve a maximum logic of 30% to 50% by installing more transistors per square millimeter Expand and improve to continue to advance Moore's Law.
Intel is also paving the way for Moore’s Law to enter the angstrom era. Its forward-looking studies have shown that new materials with a thickness of only a few atoms can be used to make transistors that overcome the limitations of traditional silicon channels. Millions of transistors will enable more powerful computing in the next decade.
2. Intel is bringing new features to silicon:
With the first integration of GaN-based power switches and silicon-based CMOS on a 300mm wafer, more efficient power technologies have made progress. This creates conditions for low-loss, high-speed power supply to the CPU, while reducing motherboard components and space.
Another advancement is Intel's industry-leading low-latency read/write function, which uses new ferroelectric materials to realize possible next-generation embedded DRAM (Dynamic Random Access Memory) technology, which can provide greater memory resources To solve increasingly complex computing applications from games to artificial intelligence.
3. Intel is pursuing the tremendous performance of quantum computing based on silicon transistors, and a new switch that uses new room temperature equipment for large-scale energy-saving calculations. In the future, these discoveries may replace classic MOSFET transistors with completely new physics concepts:
At the IEDM 2021 conference, Intel demonstrated the world's first experiment to realize a magnetoelectric spin orbit (MESO) logic device at room temperature, which means the potential manufacturability of new transistors based on switching nanomagnets.
Intel and IMEC are making progress in spintronics materials research, bringing device integration research close to realizing a fully functional spin-torque device.
Intel also demonstrated a complete 300mm qubit process for implementing scalable quantum computing compatible with CMOS manufacturing, and determined its next research plan in the future.
link:https://www.businesswire.com/news/home/20211211005006/en/